Skip to content

Synopsys Formality ECO

Achieving Fast Turnaround Time of Functional ECOs with Synopsys Formality ECO

Functional ECOs (engineering change orders) are an important part of the design cycle, enabling design teams to respond quickly to frequent, unexpected, and last-minute register-transfer logic (RTL) functional changes. ECOs are unavoidable, however, they are necessary to fix functional verification bugs or to add critical new features, which enable designers to deliver products with minimal… Read More »Achieving Fast Turnaround Time of Functional ECOs with Synopsys Formality ECO