Skip to content

Python

Python in Verification Online Meetup

Veriest is inviting you to another event in our series of online Verification Meetups. This time, we'll have two presentations on the polemic topic of using Python in Verification, one by an industry expert and the other by one of Veriest technical leaders. Save the date and watch this space for more details!

Constraint Random Verification with Python and Cocotb

Abstract: Testing digital hardware has never been an easy job, and it won’t get easier any time soon. But that doesn’t mean writing test code can’t be enjoyable and productive! Cocotb, an approach to use Python as verification language, is bringing the joy back to verification. It allows developers to start with small, directed testbenches,… Read More »Constraint Random Verification with Python and Cocotb

Python in Verification, Online Meetup

At Veriest, we believe in knowledge sharing. In our recent meetup events, hundreds of professionals from 20+ different countries gathered to listen to different industry experts from companies such as Intel, ST Microelectronics, arm, Texas Instruments, Nvidia and more. This time, we'll focus on the polemic topic of using Python in Verification. We'll have two presentations, both… Read More »Python in Verification, Online Meetup

Veriest – Verification Meetup in Budapest

Regus Milpark Center 44 Soroksári St., Budapest, Hungary

At Veriest, we believe in knowledge sharing. In our recent meetup events, hundreds of professionals from 20+ different countries gathered to listen to different industry experts from companies such as Intel, ST Microelectronics, arm, Texas Instruments, Nvidia and more. This time, we’ll host our first event in Budapest. Mr. Szabolcs Szolnoki, from the Hungarian Innovation agency, will… Read More »Veriest – Verification Meetup in Budapest

Engineering best practices for Python-based testbenches with cocotb

Writing code is easy. Reading code is hard. Maintaining code is hard. Writing "good" code is hard. So what's "good code"? Don't despair: the software engineering community has come up with tons of practical solutions! Now it's time to apply them to your next Python verification project with cocotb. In this talk, we'll look at… Read More »Engineering best practices for Python-based testbenches with cocotb

DVClub Europe: Make Verification Fun Again with Python and cocotb

cocotb is an open source coroutine-based cosimulation testbench environment for verifying VHDL and SystemVerilog RTL using Python. cocotb connects a testbench written in Python with almost all industry-standard simulators. Additionally, cocotb provides a small but powerful framework to efficiently write testcases and run them against a design. cocotb even includes a test runner framework which… Read More »DVClub Europe: Make Verification Fun Again with Python and cocotb

Ways to run cocotb: makefiles, cocotb-test, or your custom setup

cocotb enables Python-based hardware verification, and it integrates into your simulator of choice, such as Aldec's Riviera-PRO and executes Python testbenches in that context. In this webinar, we will look at ways to invoke your simulator of choice in a way that also starts with cocotb. We will show ways to extend the setup to… Read More »Ways to run cocotb: makefiles, cocotb-test, or your custom setup