Skip to content

cocotb

Aldec, November 9, 2023

Ways to run cocotb: makefiles, cocotb-test, or your custom setup

cocotb enables Python-based hardware verification, and it integrates into your simulator of choice, such as Aldec’s Riviera-PRO and executes Python testbenches in that context. In… Read More »Ways to run cocotb: makefiles, cocotb-test, or your custom setup

DVClub Europe

DVClub Europe: Make Verification Fun Again with Python and cocotb

cocotb is an open source coroutine-based cosimulation testbench environment for verifying VHDL and SystemVerilog RTL using Python. cocotb connects a testbench written in Python with… Read More »DVClub Europe: Make Verification Fun Again with Python and cocotb

Aldec, November 10, 2022

Engineering best practices for Python-based testbenches with cocotb

Writing code is easy. Reading code is hard. Maintaining code is hard. Writing “good” code is hard. So what’s “good code”? Don’t despair: the software… Read More »Engineering best practices for Python-based testbenches with cocotb