Skip to content

IP-SoC South Korea 24

EL Tower 6F 213 Gangnamdaero, Seoul, Korea, Republic of

A worldwide connected Event !! D&R IP-SoC South Korea 2024 Day is the unique worldwide Spring event fully dedicated to IP (Silicon Intellectual Property) and IP based Electronic Systems. IP-SoC providers, the seed of innovation in Electronic Industry, are invited to highlight their latest products and services and share their vision about the next innovation… IP-SoC South Korea 24

Faster Design TAT and Upscaled Team Productivity with Cadence’s True Hybrid Cloud

Join us for an informative webinar as we unveil the new hybrid cloud capabilities of our cloud solutions designed to revolutionize EDA workloads. Whether you need peak capacity for a short duration or want a front-to-back turnkey cloud environment, our cloud solutions offer unparalleled flexibility and efficiency. We will discuss how Cadence True Hybrid Cloud… Faster Design TAT and Upscaled Team Productivity with Cadence’s True Hybrid Cloud

Addressing 3D-IC Power Integrity Design Challenges

Power network design and analysis of 3D-ICs is a major challenge because of the complex nature and large size of the power network. In addition, designers must deal with the complexity of routing power through the interposer, multiple dies, through-silicon vias (TSVs), and through-dielectric vias (TDVs). In this webinar, you will learn how the Cadence… Addressing 3D-IC Power Integrity Design Challenges

Leveraging Verisium Debug to Debug Digital-Mixed Signal Designs

Many of today’s designs that are primarily digital also contain analog components. We refer to such designs as “Digital-Mixed-Signal” or DMS designs. In this webinar, we will demonstrate using the Verisium Debug App to debug such DMS designs. What You Will Learn How Verisium Debug supports debugging Xcelium (Real Number Modeling) RNM and mixed Xcelium… Leveraging Verisium Debug to Debug Digital-Mixed Signal Designs

Unleash Performance, Save Power: Mastering Processor Customization with the Tensilica Instruction Extension (TIE) Language

Join us for an engaging webinar where we show you how to turbocharge performance and minimize power consumption by harnessing the power of custom instructions using the TIE language. Don't miss this opportunity to optimize your processors like never before! TIE enables you to compute and move data many times faster than conventional processors, resulting… Unleash Performance, Save Power: Mastering Processor Customization with the Tensilica Instruction Extension (TIE) Language

Managing Constraints Like a Pro in OrCAD X

Join us in this constraint-focused webinar to learn all the best practices for managing constraints in your design with OrCAD X Presto PCB Editor. We’ll cover everything from the basics of setting up spacing and physical constraints to advanced electrical constraints, which are critical for HDI designs. The webinar will feature a brief presentation, a… Managing Constraints Like a Pro in OrCAD X

DVCon India 2024

Hotel Radission Blu, Marathalli ORR 90/4 Outer Ring Road, Bengaluru, India

On behalf of the DVCon India 2024 steering committee, it is my pleasure to welcome you all to the 9th edition of the Design and Verification Conference in India planned from 18- 19th September 2024 in Bangalore, India. The theme of this year’s conference is “Architecture to Analytics – A2A“. We want to carry forward… DVCon India 2024

A Beginner’s Guide to RTL-to-GDSII Front-End Flow

In this Training Webinar, explore the concepts of RTL design, design verification, and coverage analysis while unveiling the exciting world of front-end design flow. Walk through the essential steps in creating integrated circuits, the building blocks of modern electronics. This webinar provides practical knowledge, making it your gateway to understanding the magic behind RTL-to-GDSII front-end… A Beginner’s Guide to RTL-to-GDSII Front-End Flow

TSMC North America OIP Ecosystem Forum 2024

Santa Clara Convention Center 5001 Great America Parkway, Santa Clara, CA, United States

Learn About: Emerging advanced node design challenges and corresponding design flows and methodologies for A16, N2 and N3 processes Latest updates on TSMC 3DFabric™ chip stacking and advanced packaging processes, InFO, CoWoS®, and SoIC, 3DFabric Alliance, and 3Dblox™ standard, plus innovative 3Dblox-based design enablement technologies and solutions, targeting HPC, AI/ML, and mobile applications Comprehensive design… TSMC North America OIP Ecosystem Forum 2024

Electronic Design Process Symposium (EDPS) – 2024

SEMI 673 S. Milpitas Blvd, Milpitas, CA, United States

EDPS 2024 is now taking shape. The place to be is once again SEMI, in Milpitas, and the dates are Thursday and Friday, Oct 3rd and 4th, 2024. Registration is now open: https://2024-ieee-edps.eventbrite.com. Who needs to register? Please see the Registration page. Talks from EDPS 2023 and the last 24 years of EDPS, are are available and… Electronic Design Process Symposium (EDPS) – 2024

AutoSens Europe 2024

Palau de Congressos Av. de la Reina Maria Cristina, Barcelona, Spain

Join us as we embark on an exciting new journey in the vibrant city of Barcelona! From 8-10 October 2024, we will unite the AutoSens community at the Palau de Congressos in Barcelona to shape the future of ADAS and AV. Expect over 60 expert speakers, engaging panels, technical case studies, and exploration of 12… AutoSens Europe 2024

PCB West 2024

Santa Clara Convention Center 5001 Great America Parkway, Santa Clara, CA, United States

For more than 30 years PCB West has trained designers, engineers, fabricators and, lately, assemblers on making printed circuit boards for every product or use imaginable. More than 2,000 designers, fabricators, assemblers and engineers register and more than 100 companies exhibit each year at the four-day technical conference and one-day sold-out exhibition. From high-reliability military/aerospace… PCB West 2024