Skip to content

chiplets

TSMC 2022 EU OIP Ecosystem Forum

Hilton Amsterdam Airport Schiphol Schiphol Boulevard 701 Amsterdam, Amsterdam, Netherlands

Learn About: Emerging advanced node design challenges and corresponding design flows and methodologies for N3/N3E, N4/N4P, N5/N5A, N6/N7, N12e, N22, and 28eF technologies Latest 3DIC chip stacking and advanced packaging processes, and innovative 3DIC design enablement technologies and solutions targeting HPC and mobile applications Updated design solutions for specialty technologies enabling ultra-low voltage, analog migration,… Read More »TSMC 2022 EU OIP Ecosystem Forum

The Era of Chiplets and Heterogeneous Integration: Challenges and Emerging Solutions to Support 2.5D and 3D Advanced Packaging

As the semiconductor industry adopts chiplets and heterogeneous integration for its packaging as a key enabler to the continuation of scaling beyond Moore’s law, it has created new challenges. Join us on Wednesday, November 16 at 9:00 a.m. PST for an informative webinar on The Era of Chiplets and Heterogeneous Integration: Challenges and Emerging Solutions… Read More »The Era of Chiplets and Heterogeneous Integration: Challenges and Emerging Solutions to Support 2.5D and 3D Advanced Packaging

Introduction to UCIe

UCIe™ — Universal Chiplet Interconnect Express™ — is an open industry standard founded by the leaders in semiconductors, packaging, IP suppliers, foundries, and cloud service providers to address customer requests for more customizable package-level integration. The newly formed UCIe Consortium fosters an open chiplet ecosystem by offering high-bandwidth, low-latency, power-efficient, and cost-effective on-package connectivity between… Read More »Introduction to UCIe

Proactively Address Thermal Concerns in Advanced IC Packages

The heterogeneous integration of chips and chiplets in IC packages is all the rage as we face “More than Moore” performance challenges. While these innovative design practices successfully address performance goals, some design teams find that IC packages may overheat if they do not carefully plan for heat dissipation. This webinar will show how design… Read More »Proactively Address Thermal Concerns in Advanced IC Packages