Skip to content
Loading Events

« All Events

  • This event has passed.

Virtuoso – Save on Signoff Effort with In-Design DRC and Fill

March 19 @ 10:00 am - 11:00 am PDT

Cadence, March 2024

Virtuoso Layout Suite has pioneered in-design DRC checking and fixing in the layout editor. However, many of you have realized that the lack of completeness of rules in the techfile has caused many violations to fall through the cracks and are discovered later during signoff. An in-design DRC checking with signoff rule decks often comes at a cost – time and money. Not anymore. With iPegasus Verification System for Virtuoso Studio, you can get signoff-quality physical verification within the Virtuoso Layout Suite. This webinar will teach you how:

  • you can avoid late surprises during signoff and save your efforts using iPegasus system for Virtuoso Studio
  • the new unified toolbar and settings simplifies your design checks with signoff quality
  • the enhanced Annotation Browser gives you an integrated solution for reviewing and fixing errors
  • flexible run scope helps you in DRC fixing without losing focus

Metal fill is an important step in the layout creation process that has gained significance in recent times. Crucial analog portions of the IP need careful handling with symmetry and non-minimum spacing to ensure that metal fills do not degrade circuit performance. iPegasus fill for Virtuoso Studio elevates the user experience by bringing metal fill using signoff rule decks closer to the layout. Natively integrated into Virtuoso Studio, iPegasus fill provides control, accuracy, and performance benefits over running a standalone loosely tied-up flow.

Webinar #1: March 12
Accelerate Layout Creation with Automated Place and Route in Virtuoso Studio

Webinar #2: March 19
Saving on Signoff Effort with iPegasus Verification System for Virtuoso Studio – In-Design DRC and Fill

Webinar #3: April 4
Maximizing the Benefits of Virtuoso Layout Suite XL

Webinar #4: April 10
Finding Hidden Treasures to Accelerate Routing Your Layout

Details

Date:
March 19
Time:
10:00 am - 11:00 am PDT
Event Categories:
,
Event Tags:
,
Website:
Event Website

Organizer

Cadence
View Organizer Website

Leave a Reply

Your email address will not be published. Required fields are marked *