Skip to content

Events

Making Way for Open-Source Hardware

This is a thought leadership piece that highlights the significance of open-source hardware. Tony McDowell (Rapid Silicon) and Adam Taylor (Adiuvo) will discuss the innovations and changes required to bring awareness to open-source. Rapid Silicon's Raptor Design Suite toolchain has already proven that designs can be secure even in an open-source environment. Rapid Silicon The… Read More »Making Way for Open-Source Hardware

Low-Power Verification Using Xcelium Simulation

Don’t let power-related issues that appear late in the verification cycle impact your project schedule. Register for a webinar that shows you how to catch low-power issues early on. The Cadence low-power solution considers power at every step of the design flow, from architecture to functional verification, analysis, implementation, and signoff. This webinar will focus… Read More »Low-Power Verification Using Xcelium Simulation

Device Modeling Using Silvaco Utmost IV

In this webinar, Silvaco will present some of the 2022 Baseline enhancements to our Utmost IV Device Modeling tool. We will introduce the Corner and Retargeting Module, the most recent addition to our modeling software platform, and review some of the newest models and technologies where Silvaco’s Utmost IV is a key contributor. The presentation… Read More »Device Modeling Using Silvaco Utmost IV

DesignCon 2023

Santa Clara Convention Center 5001 Great America Parkway, Santa Clara, CA, United States

DesignCon is the premier high-speed communications and system design conference and exposition, offering industry-critical engineering education in the heart of electronics innovation — Silicon Valley. Three days of education, exhibits, and networking – technical paper sessions, tutorials, industry panels, product demos, expo hall and social functions. Join fellow engineers at DesignCon and cover all aspects… Read More »DesignCon 2023

DVClub Europe: Make Verification Fun Again with Python and cocotb

cocotb is an open source coroutine-based cosimulation testbench environment for verifying VHDL and SystemVerilog RTL using Python. cocotb connects a testbench written in Python with almost all industry-standard simulators. Additionally, cocotb provides a small but powerful framework to efficiently write testcases and run them against a design. cocotb even includes a test runner framework which… Read More »DVClub Europe: Make Verification Fun Again with Python and cocotb

Synopsys VC Formal DPV Virtual Workshop Series

Day 1 (February 1, 2023) of this workshop series will cover practical knowledge of the best datapath verification strategies and provide hands-on experience with the industry's best-in-class datapath validation app – Synopsys VC Formal DPV. This workshop includes a featured session presented by Theo Drane, Datapath Architect at Intel, who will share his experience on how… Read More »Synopsys VC Formal DPV Virtual Workshop Series

Is your disk space usage out of control using Perforce, GIT, or Subversion (SVN)?

Learn how to gain control of your disk space with the 3-Pronged Smart Storage Strategy Forget the traditional way of managing data storage, let us show you how to optimize workspaces and enable data reuse with the 3-pronged smart storage strategy! Join us on Thursday, February 2nd, to learn how to minimize the disk-space consumption of… Read More »Is your disk space usage out of control using Perforce, GIT, or Subversion (SVN)?

DVClub Europe – Best Conference Papers from 2022

Best Conference Papers from 2022 These papers are selected from DVCon and CadenceLive! in 2022 as being most relevant to the DVClub Europe community. Agenda (GMT) 12:00 Welcome and Introduction – Mike Bartley, Tessolve 12:00 Lukas Junger, MachineWare GmbH- SIM-V – Fast, Parallel RISC-V Simulation for Rapid Software Verification 12:30 Josue Quiroga, Barcelona Supercomputing Centre (BSC), Spain;… Read More »DVClub Europe – Best Conference Papers from 2022

Synopsys VC Formal DPV Virtual Workshop Series

Day 1 (February 1, 2023) of this workshop series will cover practical knowledge of the best datapath verification strategies and provide hands-on experience with the industry's best-in-class datapath validation app – Synopsys VC Formal DPV. This workshop includes a featured session presented by Theo Drane, Datapath Architect at Intel, who will share his experience on how… Read More »Synopsys VC Formal DPV Virtual Workshop Series

Implementing DFT in 2.5/3D designs using Tessent Multi-die software

In the era of more-than-Moore’s law, chip makers are scaling by adopting complex architectures that connect dies vertically (3D IC) or side-by-side (2.5D). There has been progress throughout the semiconductor ecosystem in bringing 2.5D and 3D ICs designs to the mainstream, including design-for-test (DFT). If you are an engineer, DFT manager, CAD director or someone… Read More »Implementing DFT in 2.5/3D designs using Tessent Multi-die software

Webinar: The Rise of the Chiplet

Join us this Thursday, February 9th to talk about The Rise of the Chiplet. Moderated by SemiEngineering’s Brian Bailey, this webinar will dive into the current landscape for chiplet technology, predictions for the coming years, what’s needed for chiplet adoption, and the status and evolution of die-to-die interface standards. Achronix’s Nick Ilyadis, Semico’s Rich Wawrzyniak, and ODSA’s Bapi… Read More »Webinar: The Rise of the Chiplet

Formal Verification for Non-Specialists

Is formal verification ready for general use or do you need a PhD to use it? Larger companies continue to recruit formal PhDs into their verification teams while other less-well-qualified engineers seem reluctant to go beyond simplified formal "apps". So, what is the truth of the matter? Can non-specialist engineers become productive with formal? In this webinar… Read More »Formal Verification for Non-Specialists