Skip to content

Events

IEEE 30th International Conference on Electronics, Circuits and Systems (ICECS)

Hilton Maslak Büyükdere Cd. No:233, Istanbul, Turkey

The IEEE 30th International Conference on Electronics, Circuits and Systems (ICECS) will be held in Istanbul, Turkey 4-7 December 2023. As the flagship conference of IEEE Circuits and Systems Society in Region 8 (Europe, Middle East, and Africa), ICECS 2023 will consist of tutorials, plenary lectures, regular, special and poster sessions focusing on recent trends,… Read More »IEEE 30th International Conference on Electronics, Circuits and Systems (ICECS)

IP-SoC Conference 23 – Grenoble

Hotel Europole 29 rue Pierre-Sémard, Grenoble, France

A worldwide connected Event !! IP-SoC 2023 will be the 26th edition of the working conference fully dedicated to IP (Silicon Intellectual Property) and IP based electronic systems. The event is the annual opportunity for IP providers and IP consumers to share information about technology trends, innovative IP SoC products, Breaking IP/SoC News, Market evolution and… Read More »IP-SoC Conference 23 – Grenoble

Conformal User Group Conference 2023 and Technology Day

Cadence Design Systems, Bldg 10 2655 Seeley Avenue, San Jose, CA, United States

It’s time for our inaugural CadenceCONNECT: Conformal® User Group Conference and Technology Day held on December 5th at the Cadence San Jose campus. This interactive, in-depth technical conference connects designers, verification engineers, and engineering managers to share the latest design and verification practices based on Cadence’s Conformal family of solutions including logical equivalence checking (LEC), low power… Read More »Conformal User Group Conference 2023 and Technology Day

Rigid Flex PCB In-Design Electromagnetic Analysis Workflow

Today's electronic products increasingly use Rigid-Flex PCBs to compress form factors, reduce weight, and increase cost-effectiveness. For many commercially available 3D numerical solver technologies (FEM and FDTD), the electromagnetic (EM) analysis of rigid-flex PCBs has always been challenging due to the complexity of the 3D designs. Much of this complexity comes from bending the board into… Read More »Rigid Flex PCB In-Design Electromagnetic Analysis Workflow

Multi-Die System Verification with Siemens Avery UCIe VIP

Conventional monolithic SoCs are becoming a bottleneck for power, performance, and area (PPA), creating limitations for Data-intensive applications like high-performance computing (HPC), machine learning (ML) and artificial intelligence (AI), and for hyperscale data centers. These bottlenecks are challenging Moore’s law, hindering the industry’s ability to continue scaling designs. Chiplets are rapidly becoming the means to overcome… Read More »Multi-Die System Verification with Siemens Avery UCIe VIP

Avoiding Metastability in Hardware Software Interface (HSI) using CDC Techniques

Various IP blocks within an SoC are often required to work in different clock domains in order to satisfy the power constraints. Clock domain crossing (CDC) challenges faced by design engineers include: - Speed and power requirements lead to designs with multiple asynchronous clock domains on different I/O interfaces and data being transferred from one… Read More »Avoiding Metastability in Hardware Software Interface (HSI) using CDC Techniques

Silvaco UseRs Global Event (SURGE) 2023 – China

Silvaco UseRs Global Events (SURGE) bring together users, developers, and industry experts of the EDA, IP, and TCAD communities to understand new semiconductor technologies, innovative applications, and techniques for realizing advanced designs. Presentations A variety of presentations will cover semiconductor device simulation, circuit design and verification, and IP design. Roadmaps and exciting technology updates will… Read More »Silvaco UseRs Global Event (SURGE) 2023 – China

Solve EM Fields and Forces in PCBs for Consumer Electronics ​

This webinar will demonstrate how Ansys tools can improve PCB designs for higher performance and reliability. Ansys Maxwell simulates low-frequency (LF) EM fields to identify potential EMI/EMC issues for shielding and grounding purposes. Engineers can also predict electric currents distributed throughout the PCB power traces and study the EM forces generated by the magnetic field… Read More »Solve EM Fields and Forces in PCBs for Consumer Electronics ​

RTL-to-GDSII Flow for ASIC Design Using Cadence Tools

Would you like to know how to design a complete chip using the RTL-to-GDSII Flow? In this free technical Training Webinar with Application Engineer Sai Srinivas Pamula, we’ll teach you the essential steps in the RTL-to-GDSII design flow using a wide variety of industry-leading Cadence tools—such as the Xcelium Logic Simulator, Modus DFT Software Solution,… Read More »RTL-to-GDSII Flow for ASIC Design Using Cadence Tools

Accelerating New Product Introduction with Integrated End-to-End Analytics

Are you seeking to achieve dramatic gains in product time to market? This webinar will explore the combined solution of proteanTecs deep data analytics solutions and the PDF Solutions Exensio platform for rapid NPI. This 30-minute program will include a presentation and a LIVE DEMO of the integration of PDF Solutions' Exensio platform and proteanTecs' deep data analytics… Read More »Accelerating New Product Introduction with Integrated End-to-End Analytics

CMOS Circuit Techniques for Wireline Transmitters Part III

Synopsys Webinar – Part III In this 3-part Synopsys webinar series, we will present how hyperscale data centers are going through a paradigm shift with the advent of technologies like Artificial Intelligence (AI) and edge compute requiring hyperscale data centers to support exponential growth of data volume.  This volume of network traffic demands an increase… Read More »CMOS Circuit Techniques for Wireline Transmitters Part III

Automated Power Intent Management Pre-synthesis for Large SoC Designs

With increasing chip design complexity, power intent management is becoming a requirement by chip designers. Power intent (UPF) databases are getting more and more complex and difficult to handle by designers without a reasonable level of automation. Query UPF databases, UPF creation and assembly are among the key capabilities to ease the implementation for complex… Read More »Automated Power Intent Management Pre-synthesis for Large SoC Designs