Skip to content

Events

UCIe PHY Modeling and Simulation with XMODEL

Chiplets are emerging as a new way of building IC systems via heterogeneous integration, and Universal Chip Interconnect Express (UCIe) is one of the standards defining the interconnects among chiplets. This webinar presents the SystemVerilog models of a Universal Chiplet Interconnect Express (UCIe) interface, including both the analog circuits in the electrical layer and digital… Read More »UCIe PHY Modeling and Simulation with XMODEL

TSMC 2023 Technology Symposium – Japan

The Yokohama Bay Hotel Tokyu 2-3-7, Minatomirai, Nishi-ku, Yokohama, Japan

Japan Technology Symposium Date Friday, June 30 Time 9:30 a.m. - 5:20 p.m. Venue The Yokohama Bay Hotel Tokyu 2-3-7, Minatomirai, Nishi-ku, Yokohama 220-8543 Registration will be closed on 6/21. Seats are limited. VoD (Video on Demand) will be available starting from 7/21. Registration will close on 7/12. Get the latest on: TSMC's smartphone, HPC,… Read More »TSMC 2023 Technology Symposium – Japan

FPGA Conference Europe 2023

NH München Ost Conference Center Einsteinring 20, Munich, Aschheim, Germany

FPGAs have made a regular evolutional leap forward in terms of new approaches and solutions for both hardware- and software developers. The FPGA Conference Europe, organized by ELEKTRONIKPRAXIS and the FPGA training center PLC2, is addressing that progress across all major manufacturers. It focusses on user-oriented, practically applicable solutions that developers can quickly integrate into… Read More »FPGA Conference Europe 2023

Free Silicon Conference – FSiC2023

Sorbonne Université 15-21 Rue de l'École de Médecine, Paris, France

The 2023 Free Silicon Conference (FSiC) will take place in Paris (Sorbonne) on July 10,11,12 2023 (Monday to Wednesday). This event will build on top of the past FSiC2019 and FSiC2022 editions. The conference will connect experts and enthusiasts who want to build a complete Free and Open Source CAD ecosystem for designing analog and digital integrated circuits. The conference will… Read More »Free Silicon Conference – FSiC2023

Embedded UVM (eUVM)

This is to inform you that the next DVClub Europe meeting takes place on Tuesday 11th July with a theme of "Embedded UVM(eUVM)". Introduction to Embedded UVM to enable HPC-Powered UVM Testbenches with MultiCore Performance. Agenda (BST) 12:00   Welcome and Introduction - Mike Bartley, Senior Vice President - VLSI Design, Tessolve 12:00   Puneet Goel, Coverify Systems Technology LLP… Read More »Embedded UVM (eUVM)

An AI/ML Driven High-Level Synthesis Solution

High-Level Synthesis (HLS) tools yield better PPA when the "right set" of optimization constraints and tool settings are applied. Determining the right set of constraints and settings requires design and tool experience and exploration. AI/ML technology has proven highly effective at exploring the solution space and lowering the required tool expertise. This CadenceTECHTALK™ presents details on… Read More »An AI/ML Driven High-Level Synthesis Solution

Comprehensive Static Verification for FPGA and ASIC RTL Designers

As designs get increasingly complex, design teams are looking to find bugs earlier, to reduce rework and shorten time-to-market. The ultimate “shift left” is to put easy-to-use static verification in the hands of RTL designers to eliminate bugs at their source. This webinar covers comprehensive static verification capabilities in the Cadence® Jasper™ Superlint and CDC apps for… Read More »Comprehensive Static Verification for FPGA and ASIC RTL Designers

Rambus Design Summit 2023

Back for its fourth year, the Rambus Design Summit is a virtual conference focused on the key technologies critical to enabling performance and security for data center, AI/ML, automotive and IoT applications. Agenda + Abstracts Rambus Design Summit will take place over two days, with day one focusing on memory & interface solutions, and day… Read More »Rambus Design Summit 2023

Key MAC Considerations for the Road to 1.6T Ethernet Success

224G SerDes designs are a reality and the path to 1.6T is clearer than ever. This webinar delves into the considerations, challenges and solutions designers need to know for the MAC required for these 224G Ethernet PHY IP designs. Dive deep into the nuances of PHY/MAC layer interactions, timing considerations, and forward error correction.  We will… Read More »Key MAC Considerations for the Road to 1.6T Ethernet Success

Automated Verification for Cache Coherent RISC-V SoCs

RISC-V SoC design complexity continues to increase and create new verification challenges. Private caches, shared caches, and shared main memory create potential caches/memory coherency problems that require modern, automated verification approaches. In this webinar, we’ll demonstrate how Perspec System Verifier, with the pre-defined System Traffic Library (STL), provides an out-of-the-box verification plan and test suite… Read More »Automated Verification for Cache Coherent RISC-V SoCs

Achieve Optimal PPA Targets Using AI-Driven Technology

Complexity brought on by advanced process nodes have opened the door to challenges in achieving optimal power, performance, and area (PPA). Manual methods are no longer viable given shrinking market windows. The need to drive for better results faster is increasing, and traditional methods cannot keep pace often taking months of tuning using 100s of… Read More »Achieve Optimal PPA Targets Using AI-Driven Technology

3D-IC Foundry Frameworks

Join us on July 20th; Ansys R&D members will discuss an overview of the 3D-IC technology development frameworks offered by TSMC, Samsung, and Intel and how Ansys simulation tools and workflows fit into those frameworks. About this Webinar Semiconductor applications such as Mobile (5G), Automotive, and Datacenter (HPC, AI) demand better scaling, performance, and lower… Read More »3D-IC Foundry Frameworks