Skip to content

Aldec

Automating UVM flow using Riviera-PRO’s UVM Generator

UVM is a versatile verification methodology that enables users to run advanced verification flows for large scale FPGAs and SoC FPGAs. However, because of its advanced nature, writing UVM from scratch can be a complex and tedious task. Riviera-PRO’s new UVM Generator feature alleviates some of the complexity by automatically creating the UVM testbench for… Read More »Automating UVM flow using Riviera-PRO’s UVM Generator

FPGA 22

The ACM/SIGDA International Symposium on Field-Programmable Gate Arrays is a premier conference for presentation of advances in FPGA technology. Accepted papers will be published in the conference proceedings and available in the ACM Digital Library. Virtual Conference February 27 – March 1, 2022 Registration now open at: www.isfpga.org/registration Statement from the General Chair: We have made… Read More »FPGA 22

Using SVA for Requirements-Based Verification of Safety-Critical FPGA Designs

Requirements-based verification (RBV) is a popular verification process for FPGA designs used in safety-critical systems. The effectiveness of RBV is limited by the quality and precision of the requirements. Verification techniques such as constrained random verification with assertion-based verification (ABV) can be used to help identify ambiguous or incomplete requirements early in the design and… Read More »Using SVA for Requirements-Based Verification of Safety-Critical FPGA Designs

Webinar: FPGA Design Architecture Optimization

The FPGA design architecture is the single most important and primary factor in achieving development efficiency, quality and reliability. The difference between a good and a bad design architecture can be about 50% of the workload and a high degree of detected and undetected bugs. Most design architectures can be improved and optimized to increase… Read More »Webinar: FPGA Design Architecture Optimization

FPGA Design/Verification: Randomization

Randomization is very important for modern verification. Still, very few designers apply randomization sufficiently in their testbenches. This means they are missing out on a very important method for finding potential bugs in their design, and as a result their products have significantly more undetected bugs. Randomization can be used in many ways, but it… Read More »FPGA Design/Verification: Randomization

FPGA Design/Verification: Code, Functional and Specification Coverage

Functional coverage is often mentioned together with constrained-random verification, and this is a great combination. However, functional coverage is also very useful even if you have no randomization at all. This is a great method for ensuring that you are in fact checking the right things in your testbench.  Unfortunately, not many designers are applying… Read More »FPGA Design/Verification: Code, Functional and Specification Coverage

Better FPGA Verification with VHDL: OSVVM – Leading Edge Verification for the VHDL Community

OSVVM is an advanced verification methodology that defines a VHDL verification framework, verification utility library, verification component library, and a scripting flow that simplifies your FPGA verification projects from start to finish. Using these libraries, you can create a simple, readable, and powerful testbench that is suitable for either simple or complex FPGA blocks. Looking… Read More »Better FPGA Verification with VHDL: OSVVM – Leading Edge Verification for the VHDL Community

Better FPGA Verification with VHDL – Faster than “Lite” Verification Component Development with OSVVM

Some methodologies (or frameworks) are so complex that you need a script to create the initial starting point for writing verification components, test cases, and/or the test harness.  SystemVerilog + UVM is certainly like this. There are even several organizations that propose that you use their "Lite" or "Easy" approach. Creating a verification component (VC)… Read More »Better FPGA Verification with VHDL – Faster than “Lite” Verification Component Development with OSVVM

OSVVM’s Test Reports and Simulator Independent Scripting

According to the 2020 Wilson Verification Survey FPGA verification engineers spend 46% of their time debugging.  As a result, we need good scripting to simplify running tests and good reports to simplify debug and help find problems quickly. Scripting can be complicated no matter what language – particularly with EDA tools that need to stay… Read More »OSVVM’s Test Reports and Simulator Independent Scripting

Advances in OSVVM’s Verification Data Structures

OSVVM has grown tremendously over the last couple of years. This period saw simulator independent scripting, test reporting, model independent transactions, virtual transaction interfaces, and additional verification components, each added and incrementally improved. We have talked about these previously in this webinar series. This webinar focuses on advances in OSVVM data structures. OSVVM's Functional Coverage,… Read More »Advances in OSVVM’s Verification Data Structures