Skip to content

Aldec

Introduction to OpenCPI (US)

The Open Component Portability Infrastructure (OpenCPI) is an open source software (OSS) framework for developing and executing component-based applications on heterogeneous systems. By targeting heterogeneous systems, the framework supports development and execution across diverse processing technologies including GPPs (general purpose processors), FPGA (field programmable gate arrays), and GPUs (graphics processing units) assembled into mixed systems.… Read More »Introduction to OpenCPI (US)

CDC Verification with Hard IP Blocks

Most FPGA designs contain configurable hard IP blocks supplied by FPGA vendors. These Hard IP blocks do not contain synthesizable RTL code, and therefore are excluded from advanced linting. In fact, this is a correct approach as hard IP blocks are assumed to be functionally stable and may be excluded from both static and dynamic… Read More »CDC Verification with Hard IP Blocks

Assertions-Based Verification for VHDL Designs

Assertion-based verification (ABV) enables the use of assertions for the efficient verification of low-level design properties. These assertions could be verified by simulation and formal verification methods. The VHDL 2008 standard includes Property Specification language (PSL) to express design properties for both simulation and static formal analysis. For mixed-mode simulations of VHDL designs with SystemVerilog… Read More »Assertions-Based Verification for VHDL Designs

Optimizing Simulations for Efficient Coverage Collection

Coverage is an essential part of any verification environment. Coverage can be simple as a statement and branch coverage, or it can be more complex as a covergroup with constrained-random tests. Implementation, collection and analysis of coverage on your designs might look challenging but with a few steps you can optimize your design flow to… Read More »Optimizing Simulations for Efficient Coverage Collection

Engineering best practices for Python-based testbenches with cocotb

Writing code is easy. Reading code is hard. Maintaining code is hard. Writing "good" code is hard. So what's "good code"? Don't despair: the software engineering community has come up with tons of practical solutions! Now it's time to apply them to your next Python verification project with cocotb. In this talk, we'll look at… Read More »Engineering best practices for Python-based testbenches with cocotb

Linting and Clock Domain Crossing Analysis for Microchip FPGA Designs

The use of advanced verification tools can significantly reduce the number of non-trivial bugs, save engineering time and resources and, more importantly, increase the reliability of FPGA designs. Static design verification is an essential part of a robust verification process that includes advanced linting and Clock Domain Crossing (CDC) analysis. In this webinar, we will… Read More »Linting and Clock Domain Crossing Analysis for Microchip FPGA Designs

Embedded World

NürnbergMesse Messezentrum 1, Nurnberg, Germany

The embedded world Exhibition&Conference provides a global platform and a place to meet for the entire embedded community, including leading experts, key players and industry associations. It offers unprecedented insight into the world of embedded systems, from components and modules to operating systems, hardware and software design, M2M communication, services, and various issues related to… Read More »Embedded World

The Power of Verilog’s PLI and VPI for FPGA Designs

A logic simulator’s programming interfaces can be used for not only verifying logic IP but also the co-development of logic and embedded software. Our ‘Introducing Logic Simulator Programming Interfaces for FPGA designs’ three-part webinar series starts on April 13. Our guest presenter for this series is Simon Southwell, from Anita Simulators, and the schedule is… Read More »The Power of Verilog’s PLI and VPI for FPGA Designs

The Power of VHDL’s VHPI

The programming interfaces of logic simulators are largely the domain of specialists writing proprietary tools and extensions and are only vaguely in the consciousness of many design and verification engineers, if aware at all. Yet the simplest use of such interfaces opens up a whole world of possibilities in extending what is achievable in verifying… Read More »The Power of VHDL’s VHPI

Basic Testbench for a Simple DUT

Presenter: Espen Tallaksen, CEO of EmLogic Abstract Part 1: Functional simulation using an HDL testbench is the de facto method for proving functional correctness of FPGA designs. In this three-part webinar series we will present a step-by-step approach on how to architect a testbench - progressing from basic to advanced techniques. We will first use… Read More »Basic Testbench for a Simple DUT

Advanced Testbench for a Simple DUT

Abstract: Functional simulation using an HDL testbench is the de facto method for proving functional correctness of FPGA designs. In this three-part webinar series, we will present a step-by-step approach on how to architect a testbench - progressing from basic to advanced techniques. We will first use a simple DUT then go to a more… Read More »Advanced Testbench for a Simple DUT

Advanced Testbench for a Complex DUT

Abstract: Functional simulation using an HDL testbench is the de facto method for proving functional correctness of FPGA designs. In this three-part webinar series, we will present a step-by-step approach on how to architect a testbench – progressing from basic to advanced techniques. We will first use a simple DUT then go to a more… Read More »Advanced Testbench for a Complex DUT